Publication
SPIE Advanced Lithography 2012
Conference paper

Measurement of placement error between self-assembled polymer patterns and guiding chemical prepatterns

View publication

Abstract

Extensive pattern customization will be necessary to realize viable circuit patterns from line-space arrays generated by block copolymer directed self assembly (DSA). In pattern customization with regard to chemical epitaxy of lamellar block copolymers, quantitative and precise knowledge of DSA-feature registration to the chemical prepattern is critical. Here we measure DSA pattern placement error for spatial frequency tripling and quadrupling indexed to specific lines in the chemical prepattern. A range of prepattern line widths where minimal DSA placement error can be expected is identified, and a positive correlation between DSA placement accuracy and prepattern uniformity is shown. Considering the experimental non-idealities present in the chemical prepatterns used in this work that arise from using electron-beam lithography, we anticipate that 3σ DSA placement errors will be at a minimal level if highly uniform chemical prepatterns produced by optical lithography are used. © 2012 Copyright SPIE.