Publication
SPIE Advanced Lithography 2009
Conference paper

The measurement uncertainty challenge of advanced patterning development 727203

View publication

Abstract

The trend of reducing the feature size in ICs requires tightening control of critical dimension (CD) variability for optimal device performance. This drives a need to be able to accurately characterize the variability in order to have reliable metrics to drive improvement in development. Variation in CDs can come from various sectors such as mask, OPC, litho & Etch. Metrology is involved in all sectors and it is important to understand the accuracy limitations in metrology contributing to CD variability. Inaccuracy of the CD-SEM algorithm arising from profile variations is one example. Profile variation can result from process and design variation. Total Measurement Uncertainty (TMU) is a metric dependent on the precision of tool under test (CD-SEM here) and relative accuracy, and can track the accuracy of CD measurements in the presence of varying profiles. This study explores metrology limitations to capture the design and process contributions to the CD variation at the post litho step. In this paper lithography scanner focus-exposure matrix wafer was used to capture the process variation. CD and profile data is taken from varying focus fields. The sample plan described in this paper also covers the design variation by including nested features and isolated features of various sizes. Appropriate averaging methodology has been adopted in an attempt to decouple the process and design variation related uncertainty components of TMU. While the tool precision can be suppressed by sufficient averaging, the relative accuracy cannot. This relative accuracy is affected by the complex CD-SEM probe to sample interactions and sensitivity of CD-SEM algorithms to different feature profiles. One consequence of this is the average offsets between physical CDs (CD-AFM) and SEM CDs change significantly with the scanner focus. TMU worsens as the focus range is increased from nominal focus. This paper explores why this is so and also discusses the challenges for the CD-AFM to accurately measure complex and varying profiles. There is a discussion of the implications of this study for the production measurement uncertainty, OPC calibration measurement at process of record conditions (POR), and for process window OPC. Results for optimizing the CD-SEM algorithm to achieve superior accuracy across both design and process induced variation will also be presented. © 2009 SPIE.