26 Jun 2023
Explainer
4 minute read

Why we need EUV lithography for the future of chips

To continue making chips smaller and smaller, we need powerful, accurate machines to manufacture those chips. This where EUV lithography, with research pioneered by IBM, comes in.

CD97422D-2290-44CE-BDD3-B1517FD3B168_1_201_a.jpeg
What is EUV lithography?

To continue making chips smaller and smaller, we need powerful, accurate machines to manufacture those chips. This where EUV lithography, with research pioneered by IBM, comes in.

The world we live in has been defined by computers, running on chips with transistors and memory components that have routinely shrunk in size and increased in power over the last half century. But to continue to find new ways to build smaller, more performant chips, we need the right tools to do so.

The drastic shrinking of features observed during the last decade has allowed 50 billion transistors to fit on a single chip with the latest 2 nanometer technology. That breakthrough was led by IBM Research, and required using a process called EUV lithography. It was a technique IBM Research first said would define the future of semiconductor manufacturing back in 2016, and would be integral to future breakthroughs.

But what is EUV lithography, and why is it important? And how will it shape the future of semiconductors? To answer that fully, we need to start back to an age before we even had typewriters.

What is lithography?

The concept of lithography predates computer chips by centuries. At the end of the 18th century, the method was invented as a way to make accurate, reproducible prints. Something, like a musical score or a picture, was drawn in an oily substance onto a porous flat surface, such as a piece of limestone. The stone is then wiped with an acid, a gum, and cleaned off with water. Then it was rolled with ink, and copies of the original drawing could be printed off the image that had permeated into the stone.

What is EUV lithography?

Semiconductor mass production took a version of this concept forward, called photolithography, invented by Jay Lathrop for the U.S. Army in the 1950s. For many decades, the semiconductor industry has used photolithography to print circuit designs into a photosensitive layer that is coated onto a silicon wafer, which then creates a relief pattern that can be etched into this wafer. This process is repeated dozens of times to create the complex transistor and wiring designs of today’s chips.

20230605_IBM_TP_Albany_0057_3.jpgThe massive EUV lithography machine at IBM Research Albany.

In previous photolithography applications, the light used to etch the wafer is in the ultraviolet (or UV) range of the electromagnetic spectrum. To be able to print smaller patterns, shorter wavelengths of light are required. In the case of EUV (or extreme ultraviolet) lithography, the light used is well beyond the visible light spectrum, with wavelengths only about 13 nanometers wide. This light doesn’t occur naturally on earth, but can be produced by using a high-powered laser that impinges upon droplets of tin to create plasma emitting light at this 13 nm wavelength. The EUV light is then collected with ultra-flat mirrors, precisely projected onto a mask with the desired design. The light carrying the image of the mask finally hits the surface of wafers coated with a photosensitive chemical, printing this image on the wafer. This EUV light is capable of creating patterns that are less than 12 nm in size, at least three times smaller than previous lithography technologies.

Who makes EUV machines?

ASML is the only company in the world that makes EUV lithography machines for producing these tiny chips at scale. To test and design the future of semiconductors, IBM Research operates one of ASML’s most advanced machines at the Albany Nanotech Complex in Albany, New York. Each of these machines is so large that they take three jumbo jets to transport, and contain over 100,000 pieces. Though incredibly complex, this machine is capable of printing hundreds of wafers per day at nanometer precision to support IBM Research’s goals.

That these EUV machines are so complex means that having an ecosystem like Albany Nanotech available is critical for the entire semiconductor industry to learn how to integrate these small features. These machines have allowed researchers to dive into some of the most minute circuitry imaginable, laying the groundwork for the next several years of computer hardware advances. IBM Research used one of the first EUV machines in the world, located at Albany Nanotech, to accelerate EUV lithography development for production use. This allowed IBM to insert EUV at the core of its 7 nm and 5 nm technologies.

But researchers know it’s possible to go even smaller.

What is high NA EUV lithography?

The future of semiconductors is well beyond 5 or 7 nanometers. IBM Research unveiled the world’s first working 2nm node transistor back in 2021. Existing EUV machines, though they have supported the last decade of semiconductor process development, can’t hit the resolution needed for 2nm nodes to be etched into chips in a way that would be conducive to mass production. The machines can get that precise — which is how IBM designed the first working 2nm node — but it takes three or four exposures from the EUV light to do it, rather than a single exposure. This, along with other issues associated with researching nodes even smaller than a single nanometer, meant that researchers needed to consider a new method. The solution is what’s called high numerical aperture (or high NA) EUV lithography.

This new method is functionally the same physical process as EUV lithography, but as the name suggests, the optics are larger and support higher-resolution patterns to be printed on the wafer. If you’ve ever used a professional camera, you’ll know that increasing the numerical aperture results in a sharper focus, but that also means a shallower depth of focus.

The same is true with high NA EUV lithography.

Why is high NA EUV important?

In the future, researchers expect this technology will allow them to explore the processes needed to deliver computer chips with components that are only a few nanometers wide. But new challenges will arise before then: Researchers will have to ensure that the photoresistive materials used in the lithography can actually resolve at these smaller dimensions, and the challenges that a shallower focus depth can produce. There can’t be any blurring in the pattern, for example, as that would result in imprecisely etched chips. Additionally, the masks used to print these patterns must also evolve to support these smaller features.

A high NA EUV would be an even more complex machine than the first generation of EUV, and learning how to harness it will fuel the next decade of semiconductor innovation. As researchers work to achieve the full potential of current EUV lithography systems, they’ve already begun to think about what the future could hold — which will almost certainly include high NA EUV lithography.