Publication
SPIE Advanced Lithography 2016
Conference paper

DSA patterning options for FinFET formation at 7nm node

View publication

Abstract

Several 27nm-pitch directed self-Assembly (DSA) processes targeting fin formation for FinFET device fabrication are studied in a 300mm pilot line environment, including chemoepitaxy for a conventional Fin arrays, graphoepitaxy for a customization approach and a hybrid approach for self-Aligned Fin cut. The trade-off between each DSA flow is discussed in terms of placement error, Fin CD/profile uniformity, and restricted design. Challenges in pattern transfer are observed and process optimization are discussed. Finally, silicon Fins with 100nm depth and on-Target CD using different DSA options with either lithographic or self-Aligned customization approach are demonstrated.