Publication
SPIE Advanced Lithography 2015
Conference paper

Plasma etch challenges with new EUV lithography material introduction for patterning for MOL and BEOL

View publication

Abstract

As feature critical dimension (CD) shrinks towards and beyond the 7nm node, patterning techniques for optical lithography with double and triple exposure will be replaced by EUV patterning. EUV enables process and overlay improvement, as well as a potential cost reduction due to fewer wafer passes and masks required for patterning. However, the EUV lithography technique introduces newer types of resists that are thinner and softer compared to conventional 193nm resists currently being used. The main challenge is to find the key etch process parameters to improve the EUV resist selectivity, reduce LER and LWR, minimize line end shrink, improve tip-to-tip degradation, and avoid line wiggling while still enabling previous schemes such as trench-first-metal-hard-mask (TFMHM), self-aligned via (SAV) and self-aligned contact (SAC). In this paper, we will discuss some of the approaches that we have investigated to define the best etch process adjustments to enable EUV patterning. RF pulsing is one of the key parameters utilized to overcome most of the previously described challenges, and has also been coupled with stack optimization. This study will focus on RF pulsing (high vs. low frequency results) and bias control (RF frequency dependence). In particular, pulsing effects on resist morphology, selectivity and profile management will be reported, as well as the role of aspect ratio and etch chemistry on organic mask wiggling and collapse. This work was performed by the Research Alliance Teams at various IBM Research and Development Facilities.