Publication
JM3
Paper

Bayesian dropout approximation in deep learning neural networks: Analysis of self-Aligned quadruple patterning

View publication

Abstract

Background: Predictive estimates of the final process outcome(s) of multistep, coupled processes can be difficult to make based on data measured at the various process steps. Self-Aligned quadruple patterning (SAQP) is an example of such a process where the prediction of pitch-walk is desired at the various process steps. Aims: Be able to both predict pitch-walk values and the uncertainty in the predicted values at SAQP process steps based on optical critical dimension (OCD) spectroscopy outputs (dimensions, angles, thicknesses, and so on) of mandrel, spacer, and other SAQP features. Approach: Train a neural network using OCD-modeled values of an SAQP process to be able to predict SAQP pitch-walk at early process steps. Use Bayesian dropout approximation (BDA), a methodology using Bayesian inference with stochastic neural networks, to estimate uncertainty in the predicted SAQP pitch-walk. Results: Able to predict pitch-walk values, and the uncertainty in the predictions, of the final SAQP structure after the deposition of the first spacer. The pitch-walk predictions become more accurate as OCD information from the bottom mandrel RIE and bottom spacer are added as inputs to the BDA network. Conclusions: In contrast to a single output value that traditional neural networks would predict, BDA makes an estimated distribution of predictions, where the BDA network gives both a most likely value as well as a distribution of potential values. While this paper shows the power of BDA to predict SAQP pitch walk, it is expected that BDAwill be a valuable tool to analyze many data sets in semiconductor manufacturing to help improve yield and performance.

Date

01 Oct 2022

Publication

JM3