Publication
SPIE Advanced Lithography 2020
Conference paper

Bayesian dropout approximation in deep learning neural networks: Analysis of self-aligned quadruple patterning

View publication

Abstract

Predictive modeling of the pitch-walk variance from multistep coupled processes, such as SAQP using experimental metrology observables, has the potential to give both deep understanding and a control mechanism for pitch-walk variance. In this study, with the Bayesian dropout approximation, a methodology using Bayesian inference via use of stochastic neural networks was employed to both model and predict the SAQP pitch-walk variance distribution. Bayesian neural networks were implemented as variational ensembles of networks with hidden layers, where the neural net training uses conventional dropout, while the forward solves employ a dropout Bayesian vector methodology previously developed by Gal and Ghahramani.1, 2 An important distinction here is that the forward propagations effectively sample the network to make a prediction, resulting in a distribution of outputs achieving the best model, not just a single expectation value. A complete dataset of fin module OCD metrology measurements per chip at top mandrel, bottom mandrel, and final fin reveal were used. Since the measured dataset was limited to small number chip locations, data augmentation with the highly efficient method of the volume of simplex was used to generate 30K samples. The synthetic data and the experimental data were used for neural network calibration and validation, respectively.

Date

25 Feb 2020

Publication

SPIE Advanced Lithography 2020

Authors

Share