Publication
IEEE Electron Device Letters
Paper

Origins of effective work function roll-off behavior for high-κ last replacement metal gate stacks

View publication

Abstract

Origins of effective work function (EWF) roll-off behavior accompanied by equivalent oxide thickness (EOT) scaling for high-κ last replacement metal gate (RMG) stacks are investigated using a low-temperature interfacial layer (IL) scavenging technique. The EWF-EOT roll-off is driven by a high work function metal and the trend is linear and reversible by means of IL scavenging and regrowth reactions. These findings are consistent with the oxygen vacancy model, indicating that the same mechanism that plagued gate-first devices emerges as the IL thickness is scaled < 4 Å (EOT 8 Å) for RMG stacks. © 1980-2012 IEEE.

Date

15 May 2013

Publication

IEEE Electron Device Letters

Authors

Share