Publication
DAC 2019
Conference paper

A learning-based recommender system for autotuning design flows of industrial high-performance processors

Download paper

Abstract

Logic synthesis and physical design (LSPD) tools automate complex design tasks previously performed by human designers. One timeconsuming task that remains manual is configuring the LSPD flow parameters, which significantly impacts design results. To reduce the parameter-tuning effort, we propose an LSPD parameter recommender system that involves learning a collaborative prediction model through tensor decomposition and regression. Using a model trained with archived data from multiple state-of-the-art 14nm processors, we reduce the exploration cost while achieving comparable design quality. Furthermore, we demonstrate the transfer-learning properties of our approach by showing that this model can be successfully applied for 7nm designs.

Date

02 Jun 2019

Publication

DAC 2019

Authors

Resources

Share