Publication
SCOPES 2019
Conference paper

Memory and Parallelism Analysis Using a Platform-Independent Approach

View publication

Abstract

Emerging computing architectures such as near-memory computing (NMC) promise improved performance for applications by reducing the data movement between CPU and memory. However, detecting such applications is not a trivial task. In this ongoing work, we extend the state-of-the-art platform-independent software analysis tool with NMC related metrics such as memory entropy, spatial locality, data-level, and basic-block-level parallelism. These metrics help to identify the applications more suitable for NMC architectures.

Date

Publication

SCOPES 2019

Share